![Microwave Engineering Project artwork](https://is4-ssl.mzstatic.com/image/thumb/Features/v4/5f/88/96/5f8896e9-9be5-529a-af14-cc807367568a/mza_1382744875394257421.png/100x100bb.jpg)
IQ Phase Gain Correction entity
Microwave Engineering Project
English - February 23, 2011 05:28Technology News Tech News amateur radio ham communications software microwave Homepage Download Apple Podcasts Google Podcasts Overcast Castro Pocket Casts RSS feed
Previous Episode: IQ Phase and Gain Correction - Testbench
Next Episode: IQ Phase Gain Correction testbench
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith;
use ieee.numeric_std.all;
entity IQGainPhaseCorrection is
generic(width:natural);
port(
clk :in std_logic;
x1 :in signed(width downto 0);
y1 :in signed(width downto 0);
gain_error :out signed(width downto 0);
gain_lock :out bit;
phase_error :out signed(width downto 0);
phase_lock :out bit;
corrected_x1 :out signed(width downto 0);
corrected_y1 :out signed(width downto 0)
);
end IQGainPhaseCorrection;