3D InCites Podcast  artwork

3D InCites Podcast

149 episodes - English - Latest episode: 2 days ago - ★★★★★ - 6 ratings

As a semiconductor industry community, 3D InCites brings to life the people, the personalities, and the minds behind heterogeneous integration and related technologies in a uniquely personal way. The goal is to inform key decision-makers about progress in technology development, design, standards, infrastructure, and implementation.The 3D InCites Podcast provides a forum for our community members to discuss all kinds of topics that are important to running a business in the semiconductor industry, from marketing to market trends, important issues that impact our industry, and our success stories.

Technology Business Marketing semiconductor industry b2b marketing technology trends sustainability diversity and inclusion
Homepage Apple Podcasts Google Podcasts Overcast Castro Pocket Casts RSS feed

Episodes

Conversations with the Winners of the 2024 3D InCites Awards

April 18, 2024 13:00 - 44 minutes - 30.5 MB

This episode features the winners of this year’s 3D InCites Awards. These included five technology enablement awards, one sustainability award, the Adele Hars Award for DEI, and an award for Best Place to Work.  Nils Anspach, of LPKF & Laser Electronics, explains the company’s laser-based deep etching technology for glass substrates in heterogeneous integration, and how it solves the challenges of forming interconnects through glass substrates.  Dave Taraci, of Carl Zeiss Microscopy, expla...

Member Spotlight: IMAPS Devices Packaging Conference Celebrates 20 Years

April 11, 2024 13:00 - 54 minutes - 37.4 MB

This episode was recorded live at the IMAPS Device Packaging Conference – helping celebrate the event’s 20th year. The record turnout included many of our 3D InCites Community members. Françoise von Trapp spoke with several of them who were exhibiting and presenting, and in some cases, simply attending.   Alex Ospina of ACM Research discussed the latest technologies in wafer-level packaging, and the company’s focus on developing novel IP technologies to address industry challenges. You’ll h...

IMAPS Global Business Council: Repatriating the U.S. Semiconductor Ecosystem

April 04, 2024 13:00 - 38 minutes - 26.3 MB

This episode was recorded live at IMAPS DPC, where the Spring version of the Global Business Council focused on Geopolitics Fueling the Repatriation of the Semiconductor Ecosystem. To get the complete picture of the collaboration happening, Françoise von Trapp speaks with representatives of the government, industry, and academia.   Dan Berger,  National Advanced Packaging Manufacturing Program (NAPMP), explains how CHIPS Acts monies are being used to address gaps like advanced packaging and...

The AI Explosion, Chiplet Architectures, and Enabling the Future of Moore’s Law: Conversations from IMAPS DPC 2024

March 28, 2024 13:00 - 34 minutes - 23.5 MB

This episode was recorded live at the IMAPS Device Packaging Conference in Fountain Hill AZ, where several of the keynote talks focused on chiplet architectures and heterogeneous integration for semiconductor device manufacturing, assembly, test, and packaging.   Françoise von Trapp talks with Arvind Kumar, of 3D InCites member company,  IBM and Hemanth Dhavaleswarapu of AMD, about chiplet application drivers, such as artificial intelligence. She then talks to Pooya Tadeyon, of Intel, to fi...

SEMI ISS Europe Panel: Empowering Semiconductor Excellence in Europe

March 21, 2024 13:00 - 55 minutes - 37.9 MB

In this episode, recorded live at SEMI ISS Europe, Françoise von Trapp hands over the mic to SEMI Europe President, Laith Altimime, who leads a discussion on the European chip industry’s growth and challenges, and whether the European Chips Act will strengthen Europe towards its 20% vision goal.   Panelists include:  Manfred Horstmann, GM and SVP GlobalFoundries Dresden Thomas Richter, Senior Vice President & Managing Director, Infineon Technologies Dresden Juergen Schmidt, VP Semiconduct...

SEMI ISS Europe: Creating Resilient Semiconductor Supply Chains and The Impact of Geopolitics on Energy

March 14, 2024 18:00 - 49 minutes - 34.2 MB

This week’s episode was recorded at ISS Europe, in Vienna, where the European semiconductor industries key strategists gathered to plan the path forward and forge a sustainable path to securing 20% of the global semiconductor market. One of the main topics continues to be building a resilient semiconductor supply chain.  In the first segment of the episode, Françoise speaks with Sandrine Bronner, VP of supply chain, in the semiconductor division of Edwards Vacuum. They discuss her approach ...

International Women’s Day Special: Creating a Culture of Diversity, Equity, Inclusion and Belonging

March 07, 2024 07:00 - 34 minutes - 23.4 MB

In honor of International Women’s Day (IWD 2024), 3D InCites partnered with SEMI ISS to bring you this episode on how companies are fostering and implementing DEIB and allyship into their corporate culture.  Françoise von Trapp speaks with Nigel Wenden, CEO of WGNSTAR, Laura Matz, CTO of Merck KGAA Darmstadt Germany; and Mike Rosa, CMO, Onto Innovation.  They discuss their respective company’s success stories with DEIB and their efforts to foster allyship in the workplace.  You’ll learn ab...

Scott Hayes and Amy Lujan Talk About The History of IMAPS DPC and The Line-up for 2024

February 15, 2024 13:00 - 26 minutes - 18.4 MB

The International Microelectronics and Packaging Society’s Device Packaging Conference (IMAPS DPC) celebrates its 20th year this year. The conference takes place March 19-21, in Fountain Hills, AZ.   In this episode, Françoise von Trapp speaks with Scott Hayes, General Chair, IMAPS DPC, and Amy Lujan, General Chair-Elect of IMAPS DPC about the history of this event, and what sets it apart from IMAPS annual Symposium.  This year’s event will focus on heterogeneous integration and growth dri...

Jean-Christophe Eloy and Jan Vardaman Explain How Chiplets and Advanced Packaging will Rule the World

February 08, 2024 13:00 - 21 minutes - 15.1 MB

In this episode, Françoise von Trapp and Jean Christophe Eloy of Yole Group, discuss the future of advanced packaging and chiplets in the semiconductor industry, and how these technologies will revolutionize the industry.   Get ready for a deep dive into a technology discussion. From Jean-Christophe, you’ll learn how chiplets differ from multichip modules (MCM) and systems-in-package (SiP). He highlights the benefits of chiplets, including optimizing different nodes for specific functions. ...

SEMI Europe's Laith Altimime Talks about The Implementation of th EU Chips Act and SEMI ISS Europe

February 01, 2024 13:00 - 29 minutes - 20 MB

Françoise von Trapp and  SEMI Europe's Laithe Altimime discuss the status of the European Chips Act, which aims to double Europe's market share in global manufacturing by 2030 and increase chip production by 20%. The discussion focuses on European industry growth and resilience, and the importance of collaboration. They also discuss  ISS Europe which takes place in Vienna, March 6-8, 2024, and how its content differs from SEMI ISS.  This year's theme will focus on the microelectronics supp...

Paul Triolo talks about Geopolitical Headwinds Impacting the Semiconductor Industry

January 25, 2024 13:00 - 22 minutes - 15.7 MB

At SEMI ISS, Paul Triolo, Albright Stonebridge Group (ASG) delivered a presentation on the geopolitical headwinds impacting the semiconductor industry in 2024. He explains challenges of industrial policies, export controls, and supply chain perturbations.   Triolo is Senior Vice President for China and Technology Policy Lead at ASG, where he is also an Associate Partner. He advises clients in technology, financial services, and other sectors as they navigate complex political and regulatory...

SEMI's Market Intelligence Team Shares Semiconductor Market Forecasts for 2024

January 18, 2024 13:00 - 20 minutes - 14.4 MB

For the first few episodes of Season 4 of the 3D InCites Podcast, Françoise von Trapp headed to the SEMI Industry Strategy Symposium, (ISS) where semiconductor industry executives gather to gain and share insight on where the semiconductor industry is headed, and how they can work together to get there.  Economic trends, industry markets, and growth drivers are a critical part of the discussion, so in this first episode, Francoise speaks with members of SEMI’s Market Intelligence team (MIT)...

A Conversation about the Future of Work in the Semiconductor Industry

December 21, 2023 13:00 - 31 minutes - 21.6 MB

Once again, Cassandra Melvin, SEMI Europe, takes over the mike from Françoise von Trapp to lead a panel discussion on the Future of Work in the semiconductor industry. We join the panel discussion in progress, as it was part of a session on the Future of Work that took place in Munich during SEMICON Europa.   You’ll hear from Flemming Kehr, the Global Practice Lead, Sustainability, for Mercuri Urval, Christine Pelissier, General Manager of Customer Center EMEA at Edwards, and Emma Derby, Hu...

SEMI Europe 2023: How Do We Cultivate the Semiconductor Workforce of Tomorrow?

December 14, 2023 13:00 - 58 minutes - 40.2 MB

In this episode, which was recorded live at SEMICON Europa 2023, Françoise hands over the mike to Cassandra Melvin, Senior Director of Business Development and Operations at SEMI. She led the session during the Fab Manager Forum on How To Cultivate the Workforce of Tomorrow and moderated a panel discussion on Achieving EU Ambitions Through Successful Recruitment and Retention. It highlights the instrumental role of universities and governments in supporting the industry's growth. Panelists ...

Imec Discusses Collaborative Strategies and Practical Solutions Towards a More Sustainable Semiconductors Future

December 07, 2023 13:00 - 26 minutes - 18.5 MB

In this episode, Françoise von Trapp hands over the mike to imec’s Katrien Marent, who hosted imec’s ITF Towards NetZero at SEMICON Europa. She introduces a panel discussion on Collaborative Strategies and Practical Solutions Toward a More Sustainable Semiconductors Future.   The panel kicks off by polling the audience on what they think are the most pressing issues facing the semiconductor industry as it endeavors to reduce its carbon footprint while simultaneously growing to meet the dema...

SEMICON Europa 3D InCites Member Spotlight: What We’re Doing to Shape a Sustainable $3T Era

November 30, 2023 13:00 - 1 hour - 45.6 MB

This episode features conversations with 3D InCites members who attended or exhibited at SEMICON Europa 2023, which took place in Munich, Germany from November 14-17, 2023.  The topic of the week was Shaping a Sustainable $Trillion Era. Members weigh in on what their companies are doing to support this effort. They also discuss their impression of the show, what they were showcasing, and their latest news.  Peter Dijkstra, Trymax Semiconductor, updates us on activities at Trymax USA and sh...

SEMICON Europa 3D InCites Member Spotlight: What We’re Doing to Shape a Sustainable $1 Trillion Era

November 30, 2023 13:00 - 1 hour - 45.6 MB

This episode features conversations with 3D InCites members who attended or exhibited at SEMICON Europa 2023, which took place in Munich, Germany from November 14-17, 2023.  The topic of the week was Shaping a Sustainable $1 Trillion Era. Members weigh in on what their companies are doing to support this effort. They also discuss their impression of the show, what they were showcasing, and their latest news.  Peter Dijkstra, Trymax Semiconductor, updates us on activities at Trymax USA and ...

Keynote Conversations From SEMICON Europa 2023 On Shaping a Sustainable $1Trillion Era

November 23, 2023 13:00 - 39 minutes - 27.3 MB

This episode was recorded live from Munich, as the official podcast of SEMICON Europa. The theme of this year’s event is Shaping a Sustainable $1 Trillion Era. Françoise von Trapp talks with some of the keynote speakers about the roles their companies play in achieving this goal. talking with some of the Keynote speakers about the roles their company plays in this task.  From Paul de Bot of TSMC Europe, you’ll learn about the company’s R&D investment in continued CMOS scaling and 3D integra...

AT&S’ Markus Leitgeb and Tony Gueli Talk About Meeting Today’s IC Substrate Challenges

November 16, 2023 06:00 - 27 minutes - 18.6 MB

In this episode, Françoise von Trapp talks with AT&S’s Markus Leitgeb and Tony Gueli, about the complex world of IC substrates for advanced microelectronics. The conversation focuses on technical and commercial challenges, and how they can be overcome when you work with the right substrate partners. You’ll learn about the driving applications for advanced IC substrates, including data storage, data speed, and the automotive industry. You’ll hear about the challenges of keeping up with advan...

Member Spotlight: Conversations from IMAPS 2023

November 02, 2023 13:00 - 1 hour - 51.4 MB

This Member Spotlight episode was recorded live at the IMAPS International Symposium. Françoise von Trapp speaks with community members who attended and exhibited about what they were showcasing, and what they learned.   John Lannon and Rex Anderson, Micross Components, helped demystify the multiple government funding efforts to onshore advanced packaging. Casey Krawiec of StratEdge Corporation talks about the company’s role in delivering packaging technology for high-frequency application...

IMAPS Leaders Talk About DEI Past, Present and Future

October 26, 2023 13:00 - 51 minutes - 35.4 MB

In this panel discussion, recorded live at the International Microelectronics and Packaging Society International Symposium, Françoise hands over the mike to Dan Krueger, Honeywell, as he moderates a panel on Diversity in Packaging, Past, Present, and Future. Don’t miss this lively town hall discussion on many important topics in today’s challenging work environment, including the important differences between equity and equality in the workplace.  You’ll hear representatives of different g...

Conversations with the Next Generation of Advanced Packaging Experts

October 19, 2023 13:00 - 22 minutes - 15.8 MB

In a time when unprecedented industry growth is expected, the microelectronics industry is in the midst of a workforce shortage. To address this, the International Microelectronics and Packaging Society – IMAPS – is increasing its efforts to raise awareness about this rewarding industry through partnerships with universities and high schools.   Simultaneously, companies that serve the microelectronics industry are ramping up internship programs and coming up with new approaches to recruitme...

IMAPS Symposium 2023 Keynote Chats: Quorvo's Kevin Anderson, IBM Research's Jeffrey Burns, and ASE's C.P. Hung

October 12, 2023 13:00 - 40 minutes - 27.5 MB

This episode was recorded live at the 2023 IMAPS International Symposium, where the week’s keynote talks focused on different aspects of heterogeneous integration, packaging technology for high-performance computing, and what’s driving these technologies. Françoise von Trapp speaks with three of the keynote speakers who addressed attendees including Kevin Anderson, of Qorvo; Jeffrey Burns, of IBM Research; and C.P. Hung of ASE Group.  From Kevin Anderson, you’ll hear about Qorvo’s involveme...

IMAPS Symposium 2023 Keynote Chats: Qorvo's Kevin Anderson, IBM Research's Jeffrey Burns, and ASE's C.P. Hung

October 12, 2023 13:00 - 40 minutes - 27.5 MB

This episode was recorded live at the 2023 IMAPS International Symposium, where the week’s keynote talks focused on different aspects of heterogeneous integration, packaging technology for high-performance computing, and what’s driving these technologies. Françoise von Trapp speaks with three of the keynote speakers who addressed attendees including Kevin Anderson, of Qorvo; Jeffrey Burns, of IBM Research; and C.P. Hung of ASE Group.  From Kevin Anderson, you’ll hear about Qorvo’s involveme...

imec’s Katrien Marent and SEMI Europe’s Laith Altimime Talk about Europe’s Role in Achieving a Sustainable $Trillion Semiconductor Industry

October 05, 2023 21:00 - 32 minutes - 22.6 MB

SEMICON Europa 2023 is only six weeks away, and the 3D InCites podcast will once again be the Official Podcast Partner. There is an exciting lineup of topics and top-notch speakers, all focused on the main theme of shaping a sustainable $1T Era. And for the second time, following the great success of last year's premiere, the Belgian research technology organization, imec, is hosting its International Technology Forum (known as ITF) on the SEMICON Europa show floor.  In this episode, Franço...

NXP’s Gulroz Singh Discusses The Semiconductor Industry’s Role in Ensuring Autonomous Vehicle Safety

September 28, 2023 13:00 - 30 minutes - 21.1 MB

As autonomous vehicles take to the roads, the jury is still out on the readiness of these vehicles in terms of safety. Technologies being used are still in nascent stages, and there is still work to be done before these vehicles can be operated in driverless mode.    In this episode, Françoise von Trapp sits down with Gulroz Singh, a renowned thought leader and expert in the automotive industry, specializing in the areas of autonomous driving safety, automotive functional safety, and semico...

3D InCites 411 - Françoise von Trapp Talks about the 2024 3D InCites Awards and More

September 21, 2023 13:00 - 10 minutes - 7.5 MB

We've made changes to the 3D InCites Awards Program and the 2024 Yearbook! This recording of the recent 3D InCites 411 explains everything you need to know to participate in these programs. In this information session and Q&A you'll learn about: 💡 The new 3D InCites Awards Format and Nomination Process 💡The 2024 Yearbook Opportunities and Schedules 💡New Offerings for 2024 including Webinars and Visits from the Queen Find more information on Sponsorship, Advertising, and new opportunities,...

100th Episode: Talking with Erica Folk and Tarak Railkar About The 2023 IMAPS Symposium

September 14, 2023 13:00 - 29 minutes - 20.6 MB

You are now listening to the 100th Episode of the 3D InCites Podcast! To celebrate, Françoise sits down with Erica Folk and Tarak Railkar, both representatives of the International Microelectronics and Packaging Society – also known as IMAPS. 3D InCites is the official Industry Partner of IMAPS and the Official podcast of the IMAPS Symposium.   In this episode, you’ll get a look behind the scenes of this largely volunteer-led organization, and the opportunities the Society provides its memb...

Joe Cestari and Martijn Pierik Talk About Moving Up in a Downturn

September 07, 2023 13:00 - 24 minutes - 16.8 MB

The semiconductor industry is in an interesting position – on one side, the demand is there for it to become a $1T industry sometime in the next 7-10 years. But headwinds – including a current downturn, has many companies putting the brakes on spending. In this episode, Françoise von Trapp talks with Joe Cestari and Martijn Pierik, of Kiterocket, about why companies should think twice about cutting their marketing budget and what they can do to move up in a downturn.  You’ll learn about the...

Winbond Electronics' Alex Wei and Omar Ma talk about the Memory of Everything

August 31, 2023 13:00 - 24 minutes - 16.9 MB

This episode of the 3D InCites podcast was recorded live at SEMICON West. Françoise von Trapp speaks with Alex Wei and Omar Ma about the memory challenges facing the semiconductor industry, as AI and machine learning create a need for more advanced Flash Memory and DRAM.  As memory specialists who recently joined the UCIe Consortium, Winbond is helping to address integrating memory in chiplet architectures.   Listen in to learn about the difference between code storage and data storage, an...

Nordson Test & Inspection's Chris Rand Explains Approaches to Achieving Zero Defects in Microelectronics Devices Using X-Ray Inspection

August 24, 2023 13:00 - 26 minutes - 18.5 MB

 This week’s episode dives deep into the world of X-ray inspection and its many uses in today’s high-density 3D heterogeneous integration technologies for semiconductor manufacturing. Françoise von Trapp speaks with subject matter expert, Chris Rand, of Nordson Test and Inspection.   You’ll learn the basics – how X-ray inspection is used in semiconductor manufacturing and how that has changed over the years. The challenges facing manufacturers as heterogeneous integration schemes become mor...

Bruce Kim, Danny Kim and Rose Lee Talk About Saving the World with Secondary Semiconductor Equipment and Parts

August 17, 2023 13:00 - 18 minutes - 12.8 MB

This episode was recorded live at SEMICON West 2023, where one of the main topics of conversation was the importance of creating a collaborative supply chain. Françoise von Trapp speaks with Bruce Kim, CEO of SurplusGLOBAL, and team members Danny Kim and Rose Lee, about the critical role secondary equipment and parts play in this ecosystem.    You’ll learn about the status of the current global semiconductor legacy equipment and parts market and how it is being impacted by the current strain...

IBM’s Scott Sikorski Demystifies The CHIPS Act; Amkor’s Mike Kelly and ASE’s Ou Li Talk Chiplets

August 10, 2023 13:00 - 44 minutes - 30.6 MB

This episode was recorded live at IMAPS CHIPCon, where experts in heterogeneous integration and chiplet-enabled advanced packaging schemes gathered to address some of the semiconductor manufacturing industries most pressing technical and industry-related challenges.  Françoise von Trapp speaks with Scott Sikorski, of IBM who demystifies the complexities of the CHIPS Act, and the opportunities available for companies hoping to participate in R&D for advanced packaging. He also discusses the ...

SEMICON West 2023 3D InCites Member Spotlight

August 03, 2023 13:00 - 1 hour - 78.8 MB

Françoise von Trapp speaks with 3D InCites Member companies who exhibited and or attended SEMICON West 2023.  She posed one main question, based on the show’s three key topic areas. What role do they play in helping the semiconductor industry succeed in becoming a $1T industry by 2030, how are they addressing the path to Net Zero, and how are they being impacted by the talent shortage? Franziska Petersen, Edwards talks about the company’s role in the Semiconductor Climate Consortium.  Alan...

SEMI’s Ajit Manocha Talks About PFAS and Other Headwinds to Achieving $1Trillion in the Semiconductor industry

July 31, 2023 13:00 - 19 minutes - 13.4 MB

Françoise von Trapp talks to SEMI President and CEO Ajit Manocha, about the semiconductor industry’s journey on the path to becoming a $1 Trillion and what SEMI is doing to address the headwinds that could prevent that from happening.  The semiconductor industry is growing at an amazing rate as demand for computer chips increases in everything from traditional applications like high-performance computing, data centers, and network architectures to automotive, artificial intelligence, and ma...

SEMI’s Mousumi Bhat and Collin O'Mara, NWF, Talk About Climate Equity and Social Justice

July 27, 2023 13:00 - 20 minutes - 14 MB

At SEMICON West, one of the key areas of discussion was the Path to Net Zero. What can we do to make sure the semiconductor industry grows in a way that is beneficial to the planet and shows cross-industry leadership?  Françoise von Trapp talks to SEMI’s Dr. Mousumi Bhat, VP of Global Sustainability Programs, and Collin O’Mara, CEO of the National Wildlife Federation about key takeaways from the sessions at the Climate Equity & Social Impact Pavilion at SEMICON West. The program brought to...

Bettina Weiss and Bindiya Vakil Talk about Building an Agile Semiconductor Supply Chain

July 20, 2023 13:00 - 25 minutes - 17.3 MB

In this episode, Françoise von Trapp talks with SEMI’s Bettina Weiss, and Bindiya Vakil, of Resilinc about how to navigate the complexities of the semiconductor supply chain.  The electronics industry continues to be in a state of flux. After two years of shortages, delays, and skyrocketing costs, the causes of supply chain disruptions keep changing rapidly. There is no single cause. Economic uncertainty, legislation, geopolitics, sustainability, and regionalization are all at play. Supply ...

SEMI Europe’s 3D System Summit Panel: Partnering to Overcome Challenges in 3D Integration

July 13, 2023 13:00 - 45 minutes - 31.1 MB

For this week’s episode, we’re taking you to SEMI Europe’s 3D and System Summit, which took place last month in Dresden Germany. If you didn’t get to attend, you’re in luck, because in this episode,  Françoise hands over the microphone to Jan Vardaman, who moderated a panel Panel Discussion titled: Customer-Supplier Partnering to Overcome Challenges in 3D.  Industry experts Raja Swaminathan, of AMD; Andre Blum, of Audi; and Eric Beyne, imec lend their voices to the conversation.  The panel ...

KLA’s Dave Thomas Talks about Advanced Plasma Processes for Wafer Level Packaging

July 06, 2023 13:00 - 41 minutes - 28.3 MB

Françoise von Trapp interviews Dave Thomas, of the SPTS Division at KLA, to talk about the evolution of wafer-level packaging, what’s been driving the market to adopt more advanced processes over the past 20 years, and the role KLA and specifically the SPTS division has played in bringing these technologies to commercialization.  The specific technology focus is on plasma dicing, its uses, particularly in die-to-wafer hybrid bonding, and the path to adoption. Thomas addresses in detail some...

3D InCites Podcast Extra: What is IMAPS CHIPCon and Why Should You Attend?

June 30, 2023 07:00 - 20 minutes - 13.9 MB

In this special episode of the 3D InCites Podcast, in partnership with the International Microelectronics and Packaging Society (IMAPS), we talk to Steve Kummerl,TI; and Mark Gerber, ASE, about the upcoming CHIPcon event which takes place July 24-27 in San Jose CA. This conference is a rebrand and restructuring of what was formerly the Advanced System in Packaging Symposium. Kummerl is the General Chair for the event, and Gerber is on the IMAPS Academic Committee. They are part of the team t...

The Password is Hybrid Bonding – Insights from ECTC 2023

June 29, 2023 13:00 - 48 minutes - 33.2 MB

This episode of the 3D InCites podcast is brought to you by our sustaining members. Shout out to our first official sustaining members Lori McDonald of Deca, and Brian Schieman, of IMAPS. Thanks for helping us continue to create great content!   At ECTC 2023, which took place earlier this month, the password was hybrid bonding. In this episode, you’ll hear from our member companies that specialize in hybrid bonding solutions: Adeia, EV Group, and Onto Innovation. You’ll also hear about a no...

Tom Sonderman of SkyWater Talks about Growing the Semiconductor Workforce

June 22, 2023 13:00 - 23 minutes - 16.3 MB

In this SEMICON West podcast preview episode, Françoise talks with SkyWater’s CEO Tom Sonderman, who will deliver a keynote talk, “Creating a Path to Talent”. addressing the workforce shortage currently facing the semiconductor industry. Semiconductor manufacturing is on a path to becoming a $1Trillion industry somewhere around 2030. New fabs are being constructed all over the world to meet the growing demand for computer chips. In the US, the CHIPS and Science Act legislation and the promi...

Member Spotlight: What Happened at ECTC 2023

June 15, 2023 13:00 - 54 minutes - 37.2 MB

The 3D InCites community had 24 of its members who were either presenting, exhibiting, or both. This episode features conversations Françoise had with many of the participating members about the key takeaways they got from the event, as it relates to their company’s technology goals.  Rozalia Beica and Venkata Mokkapati, AT&S talk about the important role advanced IC substrates have in today’s advanced microelectronics. They addressed questions about the lack of IC substrate manufacturing i...

ECTC 2023: Key Takeaways from the Keynote and Panels, Changes and Future Plans

June 08, 2023 13:00 - 47 minutes - 32.5 MB

In this episode, recorded live at ECTC 2023, Françoise interviews some of the key speakers about the hot topics of the week: Quantum computing, the Chips and Science Act, and workforce development. Additionally, she speaks with the winner of the first-ever, IEEE EPS William Chen Distinguished Service Award. The episode concludes with a conversation with the event organizers about changes and future plans.  Keynote speaker, Mike Manfra, physics professor at Purdue University, and Scientific ...

SEMI's Joe Stockunas Talks about Changes for SEMICON West

June 01, 2023 13:00 - 23 minutes - 16 MB

We are just about 5 weeks away from the biggest event of the year for the semiconductor industry – SEMICON West, which takes place July 11-13 at the Moscone Center in San Francisco.  This annual event is the flagship of SEMI. The days are packed with conference sessions, the show floor showcases the latest and greatest technologies, the special pavilions are humming with activity, and the networking receptions and satellite parties offer great opportunities for networking.  In this episode...

imec’s Cédric Rolin Talks about the Sustainable Semiconductor Technologies and Systems Research Program

May 25, 2023 13:00 - 28 minutes - 19.7 MB

 Continuing our focus on sustainable semiconductor manufacturing, in this episode Françoise speaks with Cédric Rolin, project manager at imec, about its Sustainable Semiconductor Technologies & Systems (SSTS) research program. Launched by imec in 2021, the SSTS rallies stakeholders from across the semiconductor industry to help reduce the ecological impact of the IC value chain.   In response to mounting concerns about climate change, tech companies around the world are accelerating efforts...

SEMI MIT Discusses the Electronic Design Market Data Report

May 11, 2023 13:00 - 18 minutes - 13 MB

In this fourth episode of the SEMI MIT podcast series, you’ll learn about the semiconductor device design market, the latest SEMI Electronic Design Market Data (EDMD) report, and the Electronic System Design Alliance (ESDA) whose members contribute to it. Speakers include:  ·       Paul Cohen, Senior Program Manager of ESDA ·       Bob Smith, Executive Director of ESDA ·       David Ghodsizadeh, Director of Product Marketing for the SEMI Market Intelligence Team The group discusses the r...

SEMI Start Up Series Episode 3: The Role of the Venture Capital Companies

May 04, 2023 14:00 - 32 minutes - 22.1 MB

In the first two episodes of this series, we heard from startup finalists who participated in last year’s program about their sustainability solutions, their experience with the program – including making strong industry connections and some securing funding, and advice for startups participating this year.   In this third and final episode of the SEMI Startups for Semiconductor Sustainability series, you’ll hear about the journey ahead for the semifinalists of this year’s Startups for Semi...

Andy Mackie Talks About His Decoder Ring for Semiconductor Packaging

April 27, 2023 13:00 - 18 minutes - 12.9 MB

In this episode, recorded at IMAPS DPC 2023, Françoise von Trapp talks with Indium’s Andy Mackie, about his latest mission to address the confusing and complex lexicon of Advanced Packaging technology.  He shares the backstory on the idea, he has come up with to change the way we talk about semiconductor device packaging, that will uplevel the importance of what is really interconnect technology.  Mackie’s position, and that of other colleagues in the industry, is that the term "packaging",...

SEMI ISS Europe Panel: Closing the Talent Gap and Cultivating the Workforce of Tomorrow

April 25, 2023 13:00 - 32 minutes - 22 MB

In this special episode of the 3D InCites Podcast, we bring you, in its entirety, a panel discussion that took place earlier this year at SEMI ISS Europe. The theme: Closing the Talent Gap and Cultivating the Workforce of Tomorrow. The multigenerational panel offers different perspectives and multiple topics, such as what they look for in a company, the importance of workplace culture, and what the semiconductor industry needs to do to improve its image to recruit more people. We join the pa...

Twitter Mentions

@3dincites 87 Episodes